verilog - Warning: (vsim-7) Failed to open readmem file "mem_content_01.dat" in read mode -


i trying run test simulation in modelsim , getting error in title. have double checked , file in same location project , names match fine. have idea problem be? please let me know if there part of code need see or that.

ah got it! okay modelsim weird. had place .dat file in directory above work work. apparently shouldn't in same place verilog code.


Comments

Popular posts from this blog

tcpdump - How to check if server received packet (acknowledged) -